Jump to content

Installing systemc-ams_2.0_beta1 with cygwin64 and systemc 2.3.1


Recommended Posts

Hi All,

I just installed systemc 2.3.1 in windows 7.0 using cygwin64.

I have also installed systemc-ams_2.0_beta1 

I have compiled the exercise from Fraunhofer (comb_filter).

this is the log:

15:48:17 **** Build of configuration Release for project test2 ****
make all 
Building file: ../src/comb_filter.cpp
Invoking: Cygwin C++ Compiler
g++ -IC:/systemc-2.3.1/include -IC:/systemc-ams-2.0_beta1/include -IC:/TUV_AMS_Library/output/include/ -O3 -Wall -c -fmessage-length=0 -MMD -MP -MF"src/comb_filter.d" -MT"src/comb_filter.o" -o "src/comb_filter.o" "../src/comb_filter.cpp"
In file included from C:/systemc-ams-2.0_beta1/include/systemc-ams:81:0,
                 from C:/systemc-ams-2.0_beta1/include/systemc-ams.h:53,
                 from ../src/comb_filter.cpp:17:
C:/systemc-ams-2.0_beta1/include/scams/utility/data_types/sca_constants.h:52:21: warning: 'sca_util::SCA_UNDEFINED' defined but not used [-Wunused-variable]
 static const double SCA_UNDEFINED=std::numeric_limits<double>::max();
                     ^
Finished building: ../src/comb_filter.cpp
 
Building file: ../src/dec_path_tb.cpp
Invoking: Cygwin C++ Compiler
g++ -IC:/systemc-2.3.1/include -IC:/systemc-ams-2.0_beta1/include -IC:/TUV_AMS_Library/output/include/ -O3 -Wall -c -fmessage-length=0 -MMD -MP -MF"src/dec_path_tb.d" -MT"src/dec_path_tb.o" -o "src/dec_path_tb.o" "../src/dec_path_tb.cpp"
In file included from C:/systemc-ams-2.0_beta1/include/systemc-ams:81:0,
                 from C:/systemc-ams-2.0_beta1/include/systemc-ams.h:53,
                 from ../src/sin_src.h:17,
                 from ../src/dec_path_tb.cpp:17:
C:/systemc-ams-2.0_beta1/include/scams/utility/data_types/sca_constants.h:52:21: warning: 'sca_util::SCA_UNDEFINED' defined but not used [-Wunused-variable]
 static const double SCA_UNDEFINED=std::numeric_limits<double>::max();
                     ^
Finished building: ../src/dec_path_tb.cpp
 
Building file: ../src/prefi.cpp
Invoking: Cygwin C++ Compiler
g++ -IC:/systemc-2.3.1/include -IC:/systemc-ams-2.0_beta1/include -IC:/TUV_AMS_Library/output/include/ -O3 -Wall -c -fmessage-length=0 -MMD -MP -MF"src/prefi.d" -MT"src/prefi.o" -o "src/prefi.o" "../src/prefi.cpp"
In file included from C:/systemc-ams-2.0_beta1/include/systemc-ams:81:0,
                 from C:/systemc-ams-2.0_beta1/include/systemc-ams.h:53,
                 from ../src/prefi.h:17,
                 from ../src/prefi.cpp:17:
C:/systemc-ams-2.0_beta1/include/scams/utility/data_types/sca_constants.h:52:21: warning: 'sca_util::SCA_UNDEFINED' defined but not used [-Wunused-variable]
 static const double SCA_UNDEFINED=std::numeric_limits<double>::max();
                     ^
Finished building: ../src/prefi.cpp
 
Building file: ../src/sadc.cpp
Invoking: Cygwin C++ Compiler
g++ -IC:/systemc-2.3.1/include -IC:/systemc-ams-2.0_beta1/include -IC:/TUV_AMS_Library/output/include/ -O3 -Wall -c -fmessage-length=0 -MMD -MP -MF"src/sadc.d" -MT"src/sadc.o" -o "src/sadc.o" "../src/sadc.cpp"
In file included from C:/systemc-ams-2.0_beta1/include/systemc-ams:81:0,
                 from C:/systemc-ams-2.0_beta1/include/systemc-ams.h:53,
                 from ../src/sadc.h:21,
                 from ../src/sadc.cpp:17:
C:/systemc-ams-2.0_beta1/include/scams/utility/data_types/sca_constants.h:52:21: warning: 'sca_util::SCA_UNDEFINED' defined but not used [-Wunused-variable]
 static const double SCA_UNDEFINED=std::numeric_limits<double>::max();
                     ^
Finished building: ../src/sadc.cpp
 
Building file: ../src/sin_src.cpp
Invoking: Cygwin C++ Compiler
g++ -IC:/systemc-2.3.1/include -IC:/systemc-ams-2.0_beta1/include -IC:/TUV_AMS_Library/output/include/ -O3 -Wall -c -fmessage-length=0 -MMD -MP -MF"src/sin_src.d" -MT"src/sin_src.o" -o "src/sin_src.o" "../src/sin_src.cpp"
In file included from C:/systemc-ams-2.0_beta1/include/systemc-ams:81:0,
                 from C:/systemc-ams-2.0_beta1/include/systemc-ams.h:53,
                 from ../src/sin_src.h:17,
                 from ../src/sin_src.cpp:17:
C:/systemc-ams-2.0_beta1/include/scams/utility/data_types/sca_constants.h:52:21: warning: 'sca_util::SCA_UNDEFINED' defined but not used [-Wunused-variable]
 static const double SCA_UNDEFINED=std::numeric_limits<double>::max();
                     ^
Finished building: ../src/sin_src.cpp
 
Building target: test2.exe
Invoking: Cygwin C++ Linker
g++ -LC:/systemc-2.3.1/lib-cygwin64 -LC:/systemc-ams-2.0_beta1/lib-cygwin -LC:/TUV_AMS_Library/output/lib -o "test2.exe"  ./src/comb_filter.o ./src/dec_path_tb.o ./src/prefi.o ./src/sadc.o ./src/sin_src.o   -lsystemc -lsystemc-ams -ltuv_ams_library
Finished building target: test2.exe
 
 
15:48:35 Build Finished (took 18s.217ms)
 

 

When I run test2.exe

This is what I get:

 

        SystemC 2.3.1-Accellera --- Mar 15 2016 17:03:13
        Copyright © 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
 
 
     SystemC AMS extensions 2.0 Version: 2.0_beta1 --- BuildRevision: 1739  20140531
    Copyright © 2010-2014  by Fraunhofer-Gesellschaft
          Institut Integrated Circuits / EAS
      Licensed under the Apache License, Version 2.0
 
 
 
Info: SystemC-AMS: 
4 SystemC-AMS modules instantiated
1 SystemC-AMS views created
4 SystemC-AMS synchronization objects/solvers instantiated
 
 
Info: SystemC-AMS: 
1 dataflow clusters instantiated
 cluster 0:
4 dataflow modules/solver, contains e.g. module: sin1
193 elements in schedule list,  
64 us cluster period, 
ratio to lowest:  64              e.g. module: sin1
ratio to highest: 1 sample time  e.g. module: dec1
0 connections to SystemC de, 1 connections from SystemC de
 
The otuput file path_tb.dat is empty.
It seems that the sc_mian is not reaching the return 0.
 
I am using Eclipse Mars2 (Rel 4.5.2) as an IDE for C/C++
Does someone have had a similar issue?.
 
Attached is the eclipse project.
 
Thanks !.
 
Alejandro
 
 

test2.zip

Link to comment
Share on other sites

Hi all,

As an update of this thread.

I have installed Cygwin 32bits and followed the steps to configure/install SystemC-2.3.1 and Systemc-ams 2.0 beta 1 with success.

It turns out that SystemC does not install properly with Cygwin64 (some of the configure check examples fails).

 

Cheers.

 

Alejandro.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...