Jump to content

Hierarchical vcd dumps


MikeStrom

Recommended Posts

Hi,

 

I'm new to SystemC, but have worked with Verilator/C++ for quite a while. We are now moving to Verilator/SystemC and have problems creating hierarchical vcd dumps from SystemC native vcd generation. The only hint i got from the documentation is to use dots to separate hierarchical levels, but it does not create a hierarchy in the vcd files.

 

I attached two screen dumps from gtkwave. The first one is a vcd generated from Verilator, the second is a vcd generated from SystemC.

 

Any suggestions are most welcome.

 

Regards,

Mike

post-16473-0-44093600-1449072789_thumb.png

post-16473-0-11018800-1449072798_thumb.png

Link to comment
Share on other sites

Hi Mike,

The dot notation should work, I would suggest you have a look at your vcd file to see if the hierarchy is recorded, you should see something like:

sc_trace(fp,top.u1.signalx,"signalx");    

$scope module top $end
    $scope module u1 $end
        $var wire 1 ^ signalx $end
    $upscope $end
$upscope $end

good luck,

Hans.

Link to comment
Share on other sites

  • 2 weeks later...

Hi Mike,

The dot notation should work, I would suggest you have a look at your vcd file to see if the hierarchy is recorded, you should see something like:

sc_trace(fp,top.u1.signalx,"signalx");    

$scope module top $end

    $scope module u1 $end

        $var wire 1 ^ signalx $end

    $upscope $end

$upscope $end

good luck,

Hans.

 

Thanks Hans,

 

I noticed that no hierarchy is created by SystemC in the vcd files. However, i found this utility that adds proper hierarchy to the vcd. It works, except that some incompatibility prevents optimization of the vcd by gtkwave.

 

Am i having this problem because I'm doing something wrong?

 

Chers,

Mike

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...