Jump to content

ssingh.codesupport

Members
  • Posts

    3
  • Joined

  • Last visited

Recent Profile Visitors

The recent visitors block is disabled and is not being shown to other users.

ssingh.codesupport's Achievements

Member

Member (1/2)

0

Reputation

  1. Hello, I am trying to run a basic systemc code which checks for the value of a given input and monitors the result. For future process of development (which might turn into a complex project), I want to execute the dut using my testbench file. I have attached the codes and the terminal output. The code is not able to pick up the correct integers or even the sc_timestamp() value. Since everything is attached, please have a look and suggest solutions. Regards SS systemc codes output
  2. Yes I have a separate main file, a separate testbench and another module for the DUT. There was an issue with the linker path but it's resolved now. I also ran the installation commands once more for proper working of the library -libsystemc.a. There is further issue with the result of the program which I better write in a new post as its a different topic. Thanks a lot!
  3. Hello, I am trying to execute a basic project in SystemC-2.3.2 with: 1. Module with DUT (.h and .cpp file) 2. Testbench (.h and .cpp file) 3. Top module (main.cpp) The version is : g++ --version g++ (Ubuntu 7.3.0-16ubuntu3) 7.3.0 Due to some linking error, I get the following error while compiling. g++ -I. -I$SYSTEMC_HOME/include -L. -L$SYSTEMC_HOME/lib-linux64 -Wl,-rpath=§SYSTEMC_HOME/lib-linux64 -o main main.cpp -lsystemc -lm /tmp/ccKTqv2Y.o: In function `statem::statem(sc_core::sc_module_name)': main.cpp:(....): undefined reference to `statem::controller()' This error is consistent for all the SC_METHOD declarations. Please let me know where I am going wrong. Any suggestions are welcome. Thank you!
×
×
  • Create New...