Jump to content

yosri ben salah

Members
  • Posts

    41
  • Joined

  • Last visited

Recent Profile Visitors

The recent visitors block is disabled and is not being shown to other users.

yosri ben salah's Achievements

Advanced Member

Advanced Member (2/2)

0

Reputation

  1. thank you for your support Roman. it possible that the warning due to systemC configuration in MSVC. i will try to fix it but pls when you have windows machine try to compile it thank you Roman
  2. the problem that it also compiles in my visual studio environnement for a week, but now when i tried to debug it runs warning, and memory exception when debuguing
  3. yes you are right , i edited my response Roman
  4. ------------------------------------------------- #ifndef DETECT_H_ #define DETECT_H_ #include <systemc> #include <iostream> SC_MODULE(Detect) { sc_core::sc_out<bool> o; SC_CTOR(Detect) : o("o") // , s(false) { SC_THREAD(DetectThread); } void DetectThread(); private: bool s; }; // Detect #endif // DETECT_H_ ---------------------------------------------------------- #ifndef TOP_H_ #define TOP_H_ #include <systemc> #include <iostream> #include "alarm.h" #include "detect.h" SC_MODULE(Top) { sc_core::sc_signal<bool> sig; Detect d; Alarm a; SC_CTOR(Top) : sig("sig") , d("d") , a("a") { d.o(sig); a.i(sig); } }; // Top #endif // TOP_H_
  5. Hi all , pls who can expain to me the reson of this warning even my code is too simple warning C4407: cast impossible between different representation of pointer , lthe complier may generate a wrong code #ifndef ALARM_H_ #define ALARM_H_ #include <systemc> #include <iostream> SC_MODULE(Alarm) { sc_core::sc_in<bool> i; SC_CTOR(Alarm) : i("i") { SC_METHOD(AlarmMethod); sensitive << i.pos(); dont_initialize(); } void AlarmMethod(); }; // Alarm #endif // ALARM_H_ ---------------------------------------------------------- #include "alarm.h" void Alarm::AlarmMethod() { bool temp = i.read(); std::cout << sc_core::sc_time_stamp() <<std::boolalpha << " i: " << temp << std::endl; } ------------ #include "detect.h" void Detect::DetectThread() { // Open CV setup // required only once. while(1) { // Call OpenCV motion detection // Compare with threshold // if greater then write true // else // false if (!s) { s = true; } else { s = false; } std::cout <<sc_core::sc_time_stamp() << std::boolalpha << " s: " << s << std::endl; o.write(s); wait(50, sc_core::SC_MS); } } ------------------------------------------------ #include "top.h" int sc_main(int argc, char **argv) { Top t("t"); sc_core::sc_start(1000, sc_core::SC_MS); sc_core::sc_stop(); return 0; }
  6. Hi all , i want to know some details about buffer in systemC, it is possible to size queue, occupancy rate to determine the system performance, in systemC modeling is there any academic work or paper that treats this issue thank you.
  7. Hi all , i want to know some details about buffer in systemC, it is possible to size queue, occupancy rate to determine the system performance, in systemC modeling is there any academic work or paper that treats this issue thank you.
  8. Hi , i want to model a motion detection system using systemC, i want to know if i use openCV for image processign in my systemC model, it it is possible for this system to be synthesised. any reply would be appreciated thank you.
  9. Hi thank you maenhe, i followed a video on youtube that explain the same steps with the guide. the messages is posted several times due to networconnection. so i should install 2.3.2 version ?
  10. Hi all, i tried to debug a code using systemC and openCv, i select X64 for configuration, but i fait to debug, can someone explain the source of this problem program output : 1>------ Début de la génération : Projet : ConsoleApplication1, Configuration : Debug x64 ------ 1> main.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool>, 1> N=1, 1> P=SC_ONE_OR_MORE_BOUND 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> detect.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>C:\Program Files (x86)\Microsoft Visual Studio 11.0\VC\include\cctype(17): error C2870: 'std' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(69): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(71): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(72): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(73): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2039: 'min' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2873: 'min' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2039: 'max' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2873: 'max' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2039: 'abs' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2873: 'abs' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2039: 'swap' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2873: 'swap' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2039: 'sqrt' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2873: 'sqrt' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2039: 'exp' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2873: 'exp' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2039: 'pow' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2873: 'pow' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2039: 'log' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2873: 'log' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(100): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): fatal error C1903: impossible de récupérer à partir des erreurs précédentes ; arrêt de la compilation 1> alarm.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool>, 1> N=1, 1> P=SC_ONE_OR_MORE_BOUND 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> Génération de code en cours... ========== Génération : 0 a réussi, 1 a échoué, 0 mis à jour, 0 a été ignoré ==========
  11. Hi all, i tried to debug a code using systemC and openCv, i select X64 for configuration, but i fait to debug, can someone explain the source of this problem program output : 1>------ Début de la génération : Projet : ConsoleApplication1, Configuration : Debug x64 ------ 1> main.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool>, 1> N=1, 1> P=SC_ONE_OR_MORE_BOUND 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> detect.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>C:\Program Files (x86)\Microsoft Visual Studio 11.0\VC\include\cctype(17): error C2870: 'std' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(69): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(71): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(72): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(73): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2039: 'min' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2873: 'min' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2039: 'max' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2873: 'max' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2039: 'abs' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2873: 'abs' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2039: 'swap' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2873: 'swap' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2039: 'sqrt' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2873: 'sqrt' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2039: 'exp' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2873: 'exp' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2039: 'pow' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2873: 'pow' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2039: 'log' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2873: 'log' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(100): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): fatal error C1903: impossible de récupérer à partir des erreurs précédentes ; arrêt de la compilation 1> alarm.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool>, 1> N=1, 1> P=SC_ONE_OR_MORE_BOUND 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> Génération de code en cours... ========== Génération : 0 a réussi, 1 a échoué, 0 mis à jour, 0 a été ignoré ==========
  12. Hi all, i tried to debug a code using systemC and openCv, i select X64 for configuration, but i fait to debug, can someone explain the source of this problem program output : 1>------ Début de la génération : Projet : ConsoleApplication1, Configuration : Debug x64 ------ 1> main.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool>, 1> N=1, 1> P=SC_ONE_OR_MORE_BOUND 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> detect.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>C:\Program Files (x86)\Microsoft Visual Studio 11.0\VC\include\cctype(17): error C2870: 'std' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(69): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(71): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(72): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(73): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2039: 'min' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2873: 'min' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2039: 'max' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2873: 'max' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2039: 'abs' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2873: 'abs' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2039: 'swap' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2873: 'swap' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2039: 'sqrt' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2873: 'sqrt' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2039: 'exp' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2873: 'exp' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2039: 'pow' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2873: 'pow' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2039: 'log' : n'est pas membre de 'std' 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2873: 'log' : un symbole ne peut pas être utilisé dans une déclaration using 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(100): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms 1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): fatal error C1903: impossible de récupérer à partir des erreurs précédentes ; arrêt de la compilation 1> alarm.cpp 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool>, 1> N=1, 1> P=SC_ONE_OR_MORE_BOUND 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données 1> c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle 1> with 1> [ 1> IF=sc_core::sc_signal_in_if<bool> 1> ] 1> Génération de code en cours... ========== Génération : 0 a réussi, 1 a échoué, 0 mis à jour, 0 a été ignoré ==========
  13. Hi, thanks for response, i want use openCV with systemC for motion detection, it is possible to install them at same time in visual studio environnement ?
×
×
  • Create New...