Jump to content

Register model handle inside drivers/monitors


Recommended Posts

Dear UVM Gurus,

Drivers/Monitors in an agent need programmable register information for their run_phase processes. Right now I am using register model handle inside driver/monitor components. I don't like to reference the register model directly in these components as they should not be dependent on specific register model. What is a better way to handle this?

Thanks!

Link to comment
Share on other sites

You should be able to hold a reference to a generic uvm_reg_block and then be able to call get_reg_by_name, get_field_by_name, etc. However, then you are dependent on knowing the names of a specific register or field within that. In that scenario, you could put strings in the config db, or a factory override of your driver/monitor that handles the places in the code that needs access to these registers.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...