Jump to content

How can I record the transaction of UVM by irun?


u24c02

Recommended Posts

Dear All,

 

I'm trying to record the transaction of UVM by using Irun(Candence).

But I can't find any usage for that.

But I find one tcl script as the below when I googling,

#Probe waveforms
database -open -shm -into waves.shm waves -default # -event
probe -create -database waves top -all -depth all # -memories
probe -create -database waves $uvm:{uvm_test_top} -all -depth all -dynamic

#stop at the end of the build phase and enable full verbosity 
#messaging and transaction recording
uvm_phase -stop_at -build_done
run
uvm_message UVM_FULL *

#Enable transaction recording
uvm_set "*" "recording_detail" UVM_FULL
if {!$simvision_attached} {
run;
exit;
};

But I really don't know how to use above tcl code in my uvm running code.

This is my running code

 

This is list.f


  
-uvmhome $UVM_HOME

-incdir ../sv

+UVM_VERBOSITY=UVM_LOW

../sv/yapp_pkg.sv
./top.sv
~      

 

and I run by using this way

irun -f list.f

 

ans here is what I've got the error message

 

ncsim> database -open -shm -into waves.shm waves -default -event
Created default SHM database waves
ncsim>
ncsim> #Probe all signals at all levels ( be careful for lager designs)
ncsim> probe -create -database waves uart_ctrl_top -all -depth all
ncsim: *E,PNOOBJ: Path element could not be found: uart_ctrl_top.
ncsim>
ncsim> #Probe assertion as transactions
ncsim> probe -create -datebase waves uart_ctrl_top.uart_dut.reg.receiver -assertions -transaction -depth all
ncsim: *E,UNKOPT: unrecognized option for the probe [-create] command (-datebase).
ncsim> probe -create -datebase waves uart_ctrl_top.uart_dut.reg.transmitter -assertions -transaction
ncsim: *E,UNKOPT: unrecognized option for the probe [-create] command (-datebase).
ncsim>
ncsim> #Probe my arrays
ncsim> probe -create -datebase waves md_top -all -memories -depth all
ncsim: *E,UNKOPT: unrecognized option for the probe [-create] command (-datebase).
ncsim>
ncsim> #Probe the entire UVM Testbench hierachy
ncsim> probe -create -database waves uvm_pkg::uvm_top -all -depth all
ncsim: *E,OBJACC: Object must have read access: uvm_pkg::uvm_top.
ncsim>
ncsim> #Run to end of build
ncsim> uvm_phase -stop_at -end connect
Created stop 1:907b0a6e:uvm
ncsim> run

Would you please help me How do I record all transaction of UVM by using irun?

 

 

Thanks in advanded

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...