Jump to content

I can't compile the example comes with UVM-1.1 in QuestaSim 10.0b


Recommended Posts

~/tmp/uvm-1.1/examples/simple/basic_examples/event_pool$ make -f Makefile.questa all

make -f Makefile.questa BITS=32 dpi_lib

make[1]: Entering directory `~/tmp/uvm-1.1/examples/simple/basic_examples/event_pool'

mkdir -p ../../../../lib

gcc -m32 -fPIC -DQUESTA -g -W -shared -x c -I/include ../../../../src/dpi/uvm_dpi.cc -o ../../../../lib/uvm_dpi.so

In file included from ../../../../src/dpi/uvm_dpi.cc:33:0:

../../../../src/dpi/uvm_regex.cc:26:22: fatal error: vpi_user.h: No such file or directory

compilation terminated.

make[1]: *** [dpi_lib] Error 1

make[1]: Leaving directory `~/tmp/uvm-1.1/examples/simple/basic_examples/event_pool'

make: *** [dpi_lib32] Error 2

Does anyone knows how to fix it?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...