Jump to content

question from uvm1.0ea to uvm1.0


Recommended Posts

Hi,

I met error when try to migrate uvm define phase from uvm1.0ea to uvm1.0.

I used to use "`uvm_phase_task_decl()" in uvm1.0ea.

when I tried to migrate it to "`uvm_user_task_phase(startup1_sync,UvmPhaseSync,prefix)" or "`m_uvm_task_phase" or "`uvm_uver_topdown_phase" or "`uvm_user_bottomup_phase", there is always a error reported that "Error-[MFNF] Member not found : in ****.sv, "comp_." Could not find member 'startup1_sync_phase' in class 'UvmPhaseSync'".

Note : class UvmPhaseSync extends uvm_component.

In uvm1.0ea we use "uvm_top.insert_phase(startup1_sync_ph, start_of_simulation_ph);" to do phase insertion. but in uvm1.0, I found it's "add_phase" in doc "UVM_Class_Reference_1.0.pdf" but its' "add" in its code "uvm_phases.svh".

How can we use this "add" task in my uvm_component class?

I can't use "uvm_top" as the scope.

Any suggestion?

Edited by b17608
Link to comment
Share on other sites

hi,

phasing has changed completely with UVM10 compared to UVM10EA. you should try to map your UVM10EA phasing to the UVM10 predefined phases to make your life easier. if you really require private phases you may want to look at the examples in the developer tree.

for instance here:

http://uvm.git.sourceforge.net/git/gitweb.cgi?p=uvm/uvm;a=tree;f=tests/40phasing;h=573c37ea2ba747e30ae8a72bd2c1c0d2ee974584;hb=ca15160dae193029b8f55d7dd9e9c159ed28fda3

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...