Jump to content

unique register model name issue


Recommended Posts

Hi,

We usually use our top level environment in active mode but need to make it work in passive mode. In order to test the top level env in passive mode, I instantiated 2 top level env in the test class. One of them is placed in passive mode and connected to the same DUT that has functional interface and data bus interface. The problem was that it didn't like that both env are using the same root register model name "reg_model". In order to work around, I copied the top level env and modified the passive top level env so that it has a different register model instance name. With this workaround, the passive mode testing worked OK. The monitor works just like active one. Of course, this is not an ideal workaround.

Is there a better cleaner way to get around this register model naming issue?

Thank you!

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...