Hi, experts,
I met such a problem. Here are the codes and the vcs reports:
-------codes--------
class my_env extends uvm_env;
my_sub_env subenv[];
...
// build phase
function void build_phase(uvm_phase phase);
...
subenv=new[10];
for (int i =0; i <10; i++) begin
subenv = my_sub_env::type_id::create(sformatf("subenv%0d", i), this);
end
...
endfunction: build_phase
// connect phase
function void connect_phase(uvm_phase phase);
...
foreach(subenv) begin
reg_R.a_map.set_sequencer(subenv.v_