hi,
iam new to systemc, i tried programming AND but was felt with port binding issue. could anyone pls tell me where i have gone wrong...
and.h
#include "systemc.h"
SC_MODULE(and)
{
sc_in< sc_uint<1> > a, b;
sc_out< sc_uint<1> > s;
void my_and()
{
s.write(a.read() & b.read());
cout<<s<<endl;
}
SC_CTOR(and){
SC_METHOD(my_and);
sensitive << a << b;
}
};
and.cpp
#include "and.h"
int sc_main(int argc, char* argv[])
{
sc_signal<sc_uint<1> > a,b;
sc_signal<sc_uint<1> > s;
and d1("and");
d1.a(a);
d1.b(;
d1.s(s);
sc_start();
return 0;
}