I'm trying to create vcd file for data gate and I got on this :
Info: (I703) tracing timescale unit set: 1 ns (trace.vcd)
Warning: (W571) no activity or clock movement for sc_start() invocation
In file: ../../../src/sysc/kernel/sc_simcontext.cpp:1742
my cod:
//andh.h file
#include <systemc.h>
SC_MODULE(andh){
sc_in<bool> a;
sc_in<bool> b;
sc_out<bool> o;
void and_process(){
o.write(a.read()&&b.read());
}
SC_CTOR(andh){
SC_METHOD(and_process);
sensitive<
Hi everyone
I'm new in systemc ,I'm trying to design (and gate) and create vcd file for simulation
and when build project I got on these error
??:??:?? **** Incremental Build of configuration Debug for project and_get ****
make all
Building file: ../and_test.cpp
Invoking: Cygwin C++ Compiler
g++ -I"C:\Users\ALTQNIA\Desktop\systemc-2.3.3\systemc-2.3.3\include" -O0 -g3 -Wall -c -fmessage-length=0 -MMD -MP -MF"and_test.d" -MT"and_test.o" -o "and_test.o" "../and_test.cpp"
../and_test.cpp:2:9: fatal error: andh2.h: No such file or directory
2 | #include<