Jump to content

jsiva

Members
  • Posts

    1
  • Joined

  • Last visited

jsiva's Achievements

Member

Member (1/2)

0

Reputation

  1. Can Anybody please tell me how to simulate UVM Program in Questasim 10.0b I'm getting the following errors for hello world program The program is in attached file. # -- Compiling package my_pkg # -- Importing package mtiUvm.uvm_pkg (uvm-1.0p1 Built-in) # ** Error: hello_world/class.sv(4): (vlog-2163) Macro `uvm_component_utils is undefined. # ** Error: hello_world/class.sv(4): near "(": syntax error, unexpected '(', expecting function or task # -- Compiling module dut # ** Error: hello_world/dut.sv(2): Undefined variable: dif. # ** Error: hello_world/dut.sv(2): Illegal use of 'automatic' for variable declaration (dif). # ** Error: hello_world/dut.sv(1): Identifier must be declared with a port mode: dif. # ** Error: hello_world/inf.sv(4): near "m": syntax error, unexpected IDENTIFIER, expecting class # ** Error: hello_world/top.sv(1): near "module": syntax error, unexpected module, expecting IDENTIFIER or TYPE_IDENTIFIER # C:/questasim_10.0b/win32/vlog failed. In the attached file program is there. Please help me. programdetails.zip
×
×
  • Create New...