Jump to content

** Fatal: Internal error on use of uvm_do_on macro With MTI 10.1c version


Recommended Posts

Hello,

I face below fatal error while using uvm_do_on macro in Modelsim 10.1c version.

** Fatal: Internal error: ../../../src/solver/slvACTContext.c (1084)

My sequence is as below:

class sample_seq extends uvm_sequence;

`uvm_object_utils(sample_seq)

`uvm_declare_p_sequencer(abc_virtual_sequencer)

sample_abc_seq abc_seq;

function new(string name ="sample_seq");

super.new(name);

endfunction : new

task body();

if( p_sequencer.abc_sequencer!=null)

`uvm_do_on(abc_seq , p_sequencer.abc_sequencer);

endtask

endclass

Can anyone help me to figure out the issue in this approach??

Thanks

Link to comment
Share on other sites

First, you should never get an internal error from any tool. Contact Mentor directly with more details about the platform/OS you are using and the command line used to invoke the tool. It also help to show as much as possible in the output before the error occurred.

Second, You can not use `uvm_do_ with Modelsim because it calls abc_seq.randomize(). You need Questa to do that.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...