Jump to content

Can Multiple register models be used?


Recommended Posts

Hello,

In the RTL block that i am verifying there are register banks which are generated by using .rdl file. I am using the same .rdl files to generate the reg models using "reggen" and "ralgen" commands. This will give me multiple ral_block_<name> which extends uvm_reg_block.

Can i directly use these multiple register models, like instantiating, creating them and the connecting in the tb_env? Or, do i need to make it as a single register model file i.e. a single ral_block_<name> class.

Please guide.

Thank you,

Sandip

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...