Jump to content

ncsim: Unable to load the default library libdpi


Recommended Posts

  • 2 weeks later...

this only happens if you compile in the old 3step mode (ncvlog/ncelab/ncsim) and you miss to supply the shared libraries (either the precompiled libuvmdpi.so, libuvmpli.so) or if you compile the dpi code yourself and forgot the -sv_lib ..../yourlib.so

(btw the right set of switches are in another post here in the forum, search for "loadpli")

much simpler is the invocation using "irun -uvmhome <your-uvm> ...."

/uwe

Link to comment
Share on other sites

  • 9 months later...

Hello,

 

I am using the 3step mode (ncvlog/ncelab/ncsim). I am also getting this same error. I understood the addition of the precompiled libuvmdpi.so. I am not adding the libuvmdpi.so because I am compiling the full source code of "uvm_pkg" .  Is it mandatory to add the libuvmdpi.so even if I am compiling the full source for "uvm_pkg" ?

Link to comment
Share on other sites

Well, that particular error is gone when I defined the following

+define+UVM_NO_DPI 

 

check this file for reference: uvm-1.1d/src/dpi/uvm_dpi.svh

 

I guess the function uvm_hdl_deposit has to do something with C library. No sure if the define will cause some other example not to work.

Thanks

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...