Jump to content

Geting started error on Cadence (Multiple packages)


Recommended Posts

Hello everyone.

We are new in UVM. We are trying to implement UVM library on Cadence and are trying to compile first simple project.

UVM_HOME variable is set, UVM compiled.

`include "uvm_pkg.sv"

module hello_world_example;

// Import the UVM library and include the UVM macros

import uvm_pkg::*;

`include "uvm_macros.svh"

initial begin

`uvm _info ("info1","Hello World!", UVM _LOW)

end

endmodule: hello_world_example

And we get this error:

import uvm_pkg::*;

|

ncvlog: *E,MULTPK (hh.sv,6|17): Multiple (2) packages named "uvm_pkg" were found in the searched libraries:

-> found verilog_package worklib.uvm_pkg:verilog_package (VST)

-> found verilog_package worklib.uvm_pkg:sv (VST).

How can I avoid this error??

Link to comment
Share on other sites

Thank you for answering.

Now the file is like this

module hello_world_example;

// Import the UVM library and include the UVM macros

import uvm_pkg::*;

`include "uvm_macros.svh"

initial begin

`uvm _info ("info1","Hello World!", UVM _LOW)

end

endmodule: hello_world_example

using

irun -uvm hh.sv

and receiving the same output

file: hh.sv

import uvm_pkg::*;

|

ncvlog: *E,MULTPK (hh.sv,5|17): Multiple (2) packages named "uvm_pkg" were found in the searched libraries:

-> found verilog_package worklib.uvm_pkg:verilog_package (VST)

-> found verilog_package worklib.uvm_pkg:sv (VST).

import uvm_pkg::*;

Again we are stuck with this problem ...

Link to comment
Share on other sites

  • 8 years later...
  • 1 year later...

Hi,

I am not able to open any of the included files, in all my packages for all my files.

[ERROR] /var/vob/ctrx/ctrx44/vob/units/ctrx_tb_dig/source/sv/tb/abeam/demo_examples/abeam_opamp/opamp_testbench/opamp_sv/opamp_tb_pkg.sv(21): ERROR:cannot open include file opamp_scoreboard.svh (VERI-1245) 

[ERROR] /var/vob/ctrx/ctrx44/vob/units/ctrx_tb_dig/source/sv/tb/abeam/demo_examples/abeam_opamp/opamp_testbench/opamp_input_uvc/input_agent_pkg.sv(14): ERROR:cannot open include file opamp_data_packet.svh (VERI-1245) 
 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...