Jump to content

ask for help: how to dump fsdb in UVM environment with IUS simulator ?


Recommended Posts

Hi experts:

I try to dump fsdb file for UVM case using the following code:

inital begin

$fsdbDumpfile(..);

$fsdbDumpvars(top,0);

but i met the following compile errors:

illegal expression !!

ask for help: how to dump fsdb in UVM environment with IUS simulator ?

Thanks for your help.

Link to comment
Share on other sites

Hi,

>> illegal expression !!

Show us full error message and the line of src it points to. My guess is it is unrelated to FSDB. For FSDB, see:

http://www.springsoft.com/technology/springsoft-newsletter-info/verdi-uvm-fsdb-may2011

http://www.springsoft.com/technology/springsoft-newsletter-info/auto-record-uvm-sept2011

HTH,

Ajeetha, CVC

www.cvcblr.com/blog

Link to comment
Share on other sites

how can i dump a testcase (which is a class) in the UVM environment ? The testcase contains an instance of the env.

i assume you cant push references to dynamic objects into the fsdb - with simvision (the native ius graphical frontend) you can.

/uwe

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...