Jump to content

Behavior of uvm_sequence_base::kill method


Recommended Posts

Hi all,

I have a question about behavior of uvm_sequence_base::kill method.

In case of virtual (layered) sequence, how should be uvm_sequnce_base::start task invocation of lower layer sequences when uvm_sequece_base::kill method is invoked?

  1. Should be finished completely?
  2. Can be terminated suddenly?

I attached an example code.
If start task invocation of lower layer sequences should be finished completely, both of uvm_info within innter_sequence and uvm_info within outer_sequence should be displayed.
However, when I executed this sample code with the latest VCS, uvm_info within innter_sequence was not displayed.

Regards,
Taichi Ishitani

 

process_test_uvm.sv

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...