Jump to content

How can I dump waveform using irun uder UVM environment?


u24c02

Recommended Posts

Dear All,

 

I'd like to dump the waveform  using irun in UVM env.

Currently I'm working on uvm-1.1d/examples/simple/basic_examples/module directory and INSICIVE152.

the problem is that I don't know how to make wave dump file.

Would you please help me let me know how to get the wave dump file with any example ?

 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...