Jump to content

Problem while starting a UVM sequence by calling `uvm_do_on from the run_phase of uvm_test


Recommended Posts

Hello Everyone,

UVM provides multiple options to start a sequence from the run_phase of the uvm_test. One of these options is to call <seq>.start(<seqr>); which works perfectly fine.

But, if I try to use the other option `uvm_do_on(<seq>,<seqr>) in the run_phase of the test, the simulation fails with the following compilation error with incisive simulator (at the line where `uvm_do_on is called):

'start_item': undeclared identifier [12.5(IEEE)].

Is there any restriction that we cannot use `uvm_do_on method to initiate a sequence from the run_phase of the test?

If it is allowed, then why do I get this error? 

Please suggest.

Best Regards

Suraj Parkash Gupta

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...