Jump to content

Build issues with UVM-SystemC 1.0-beta1


Recommended Posts

Although the public review is over, I thought it might still be useful to report the issues I had:

  1. The configure script was not present, so I needed to generate it first.
  2. It did not work with systemc-2.3.2 CMake build, UVM-SystemC wanted libsystemc to be in ${SYSTEMC_HOME}/lib-linux64 while it is in ${SYSTEMC_HOME}/lib64.
Link to comment
Share on other sites

  • 2 weeks later...
  • 1 month later...
On 27/02/2018 at 9:45 PM, hle said:

Although the public review is over, I thought it might still be useful to report the issues I had:

  1. The configure script was not present, so I needed to generate it first.
  2. It did not work with systemc-2.3.2 CMake build, UVM-SystemC wanted libsystemc to be in ${SYSTEMC_HOME}/lib-linux64 while it is in ${SYSTEMC_HOME}/lib64.
 

For the build issue, I think you can over CMake configure in SystemC to set library path to wherever you want. 

 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...