Jump to content

uvm_monitor and class parameter


Recommended Posts

is it common not to have uvm_monitor without parameter?

For example, the following is a snippet from uvm reference guide. The monitor does not have any parameter while driver has user defined sequence_item as a parameter

class master_monitor extends uvm_monitor;
virtual bus_if xmi; // SystemVerilog virtual interface
bit checks_enable = 1; // Control checking in monitor and interface.
bit coverage_enable = 1; // Control coverage in monitor and interface.

 

class simple_driver extends uvm_driver #(simple_item);
 simple_item s_item;
 virtual dut_if vif;
 // UVM automation macros for general components
 `uvm_component_utils(simple_driver

Does this mean that I cannot have multiple instances of monitor with different sequence_item types as class is not type parameterized?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...