Jump to content

factory type override of sequence item does not work


Recommended Posts

Hi,

following code that comes with the crave example does not override the sequence item type used by the test:

jelly_bean_transaction::type_id::set_type_override(sugar_free_jelly_bean_transaction::get_type());

neither does:

uvm_set_type_override(jelly_bean_transaction::get_type(), sugar_free_jelly_bean_transaction::get_type());

Which in my opinion should work as it is a type override on a uvm_object type and furthermore is a derived class of jelly_bean_transaction that only adds a constraint to the class.

Any clue why this does not work but overriding a type derived from uvm_component does ?

Thanks in advance,

Tretter Ch.

Link to comment
Share on other sites

  • 1 month later...
  • 3 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...