Jump to content

UVM_ERROR


Prawin

Recommended Posts

Hi,

I'm getting following error , can you help me on this.

function void build_phase(uvm_phase phase);
  ac_lpc_agent agent; 
  super.build_phase(phase);
   if ($cast(agent, get_parent()) && agent != null) begin
      vif = agent.vif;
   end
   else begin
     if (!uvm_config_db#(virtual ac_lpc_if)::get(this, "", "vif", vif)) begin          `uvm_fatal("ac_lpc_driver", "No virtual interface specified for this driver instance");
         end
     end
   endfunction

 

ERROR: uvm_test_top.env.driver [ac_lpc_driver] No virtual interface specified for this driver instance.

 

Thanks,

Prawin

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...