Jump to content

starting phase in default sequence


Recommended Posts

Hi,

Since there are two methods to start a sequence in UVM.

1. Using seq.start() method.

2. By using default_sequence in uvm_config_db.

When we are using the second method for starting the sequence, then we have a option to modify the "starting phase".

What is the default value of "starting phase"?

Since we can modify it using "get_starting_phase()" and "set_starting_phase()", does it means that we can run the sequence in any phase? .

Thanks

 

Link to comment
Share on other sites

When you set the default_sequence in uvm_config_db, you specify the phase in which the driver will start the sequence.  Just with that specification, you can run the sequence in any phase.

get_starting_phase() and set_starting_phase() refer to a phase that will be objected to by the automatic phase objection feature of uvm_sequence.  The default value for that starting_phase is the phase in which the sequence gets started (what you specified to the uvm_config_db).  

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...