Jump to content

openCv systemC


Recommended Posts

Hi all, 

i tried to debug a code using systemC and openCv, i select X64 for configuration, but i fait to debug, can someone explain the source of this problem 

program output : 

1>------ Début de la génération : Projet : ConsoleApplication1, Configuration : Debug x64 ------
1>  main.cpp
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>,
1>              N=1,
1>              P=SC_ONE_OR_MORE_BOUND
1>          ]
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>  detect.cpp
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>C:\Program Files (x86)\Microsoft Visual Studio 11.0\VC\include\cctype(17): error C2870: 'std' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(69): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(71): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(72): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(73): error C2267: 'abs' : les fonctions static avec portée de bloc ne sont pas conformes
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2039: 'min' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(75): error C2873: 'min' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2039: 'max' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(76): error C2873: 'max' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2039: 'abs' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(77): error C2873: 'abs' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2039: 'swap' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(78): error C2873: 'swap' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2039: 'sqrt' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(79): error C2873: 'sqrt' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2039: 'exp' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(80): error C2873: 'exp' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2039: 'pow' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(81): error C2873: 'pow' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2039: 'log' : n'est pas membre de 'std'
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(82): error C2873: 'log' : un symbole ne peut pas être utilisé dans une déclaration using
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(100): error C2870: 'cv' : la définition d'un espace de noms doit apparaître soit au niveau de la portée du fichier soit immédiatement au sein d'une autre définition d'espace de noms
1>C:\Users\Soft\Desktop\OpenCV\opencv\build\include\opencv2/core/cvstd.hpp(70): fatal error C1903: impossible de récupérer à partir des erreurs précédentes ; arrêt de la compilation
1>  alarm.cpp
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_attribute.h(110): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_export.h(225): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_simcontext.h(556): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/kernel/sc_event.h(548): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(199): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_prim_channel.h(239): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(605): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(602) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_method_handle,sc_core::sc_event_finder *) const' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(375) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port_b<IF>' en cours de compilation
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_signal_ports.h(391) : voir la référence à l'instanciation de la classe modèle 'sc_core::sc_port<IF,N,P>' en cours de compilation
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>,
1>              N=1,
1>              P=SC_ONE_OR_MORE_BOUND
1>          ]
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(584): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(581) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::make_sensitive(sc_core::sc_thread_handle,sc_core::sc_event_finder *) const' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(545): warning C4267: 'initialisation' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(539) : lors de la compilation de la fonction membre 'void sc_core::sc_port_b<IF>::add_interface(sc_core::sc_interface *)' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(574): warning C4267: 'return' : conversion de 'size_t' en 'int', perte possible de données
1>          c:\users\soft\desktop\system\systemc-2.3.1\src\sysc/communication/sc_port.h(573) : lors de la compilation de la fonction membre 'int sc_core::sc_port_b<IF>::interface_count(void)' de la classe modèle
1>          with
1>          [
1>              IF=sc_core::sc_signal_in_if<bool>
1>          ]
1>  Génération de code en cours...
========== Génération : 0 a réussi, 1 a échoué, 0 mis à jour, 0 a été ignoré ==========
 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...