Jump to content

UVM register access with multiple masters to same register model


Recommended Posts

You need to provide more detail.

Like, why do you currently have to select one at compilation time?

What exactly do you mean by parallel access? Do you have 3 separate physical interfaces which can simultaneously access the same register?

Or as often the case, do you have 3 masters which can all access the same registers via a fabric and a single physical interface to the registers?

What are you using for your register model? RAL ( uvm_reg ) ?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...