Jump to content

real port mappping


Recommended Posts

Hi all,


i'm tryin to make a SV code with the following bloks:


-Gaussian nois generator: the output is 16 bit, but i had converted it to real ( i had just putted real in place of STD_logic)


-Low pass filter input real, output real


=> the verification of the two bloks are OK


-sigma delta modulator, input Real, Output bit


 


the guassiaan block+low pass+SDM fail, 


i  tryed to simulate the SDM+gaussian block but it does not work, I'm using ModelSim 101c


Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...