Jump to content

Issue with add_hdl_path (peeking two sub modules regsiters)


Recommended Posts

HI 

I have  two  sub modules called  A and B within DUT and trying to

get register information of both A and B in back door using peek method.

 

I have single ral block which includes both A and B regsiters.

 

I  am  doing in below way in env.

//A registers

m.reg_model_h.add_hdl_path(A_HIER)

regname=m_reg_model_h.get_reg_by_name("REG_A");

regname.add_hdl_path_slice($sformatf("add_%0d",0),0,5)

m_reg_model.lock_model.

 

//B regsiters

m.reg_model_h.clear_hdl_path(A_HIER)

m.reg_model_h.add_hdl_path(B_HIER)

regname=m_reg_model_h.get_reg_by_name("REG_B");

regname.add_hdl_path_slice($sformatf("data_%0d",0),0,5)

m_reg_model.lock_model.

 

 

module dut;

A a1;

B b1;

 

endmodule

 

When I do  the peek of A regsiters  I am seeing below error.

UVM_ERROR get:unable to locate hdl path test_bencg.dut.b1.add_0.

 

 

I observed that always B hierarchy  overriding when accessing A regsiters. eventhough

I provided clear_hdl_path (A_HIER)

 

Can any one please  help on this?

 

 

 

 

Thanks,

praneeth

 

 

 

 

 

 

 

 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...