Jump to content

Output `uvm_info to file


Recommended Posts

Hi!

I need redirect all uvm_info messages to some log file.

 

My testbench contain dut module, some environment modules and classes, which using for monitoring and driving.

In environment modules, drivers and monitors exists `uvm_info callings.

 

To save `uvm_info messages to log file In uvm agents build_phase:
 

            int log_file

            log_file = $fopen(path);
            uvm_top.set_report_default_file(log_file);
            uvm_top.set_report_severity_action (UVM_INFO, UVM_DISPLAY | UVM_LOG);

 

In console i see messages of two types.

1st type:

UVM_INFO @%time%: reporter [%uvm_info_id%] %some_message%

Messages 1st type outputs by environment modules. Messages 1st type exists in output log.

 

2nd type

UVM_INFO @ %time%: uvm_test_top.env.agent.%some_testcase% [%uvm_info_id%] %some_message%

Messages 2st type outputs by monitors and drivers. Messages 2st type not exists in log.

 

I need to output to file both type messages .

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...