Jump to content

, help me !!!!!


preda

Recommended Posts

the ovm example is alu_config_Analysis is translate to UVM, help me !!!!!

I used ovm2uvm.pl to translate this example to uvm. I write run.bat in win cmd line. but run time is error:

vsim +UVM_TESTNAME=test_locking -voptargs=+acc -sv_lib C:/EDA/questasim_10.0c/win32/uvm-1.1/uvm_dpi top

# ** Warning: (vsim-144) Detected unknown compiler 'C:\Program Files\Microsoft Visual Studio 10.0\VC\bin\cl.exe'. Make sure that the CppPath INI file variable or the argument to the -cpppath option if set, is set to a path that exists

# ** Note: (vsim-3812) Design is being optimized...

# ** Warning: [8] ../alu_top_modules/top.sv(4): (vopt-2605) empty port name in port list.

# Loading sv_std.std

# Loading work.uvm_pkg

# Loading work.uvm_container_pkg

# Loading work.alu_configurations_pkg

# Loading work.alu_agent_pkg

# Loading work.alu_sequences_pkg

# Loading work.alu_analysis_pkg

# Loading work.alu_env_pkg

# Loading work.alu_tests_pkg

# Loading work.top(fast)

# Loading work.alu_if_sv_unit

# Loading work.alu_if(fast)

# Loading work.alu_rtl_sv_unit

# Loading work.alu_rtl(fast)

# ** Warning: (vsim-3764) ../alu_tests/alu_tests_pkg.sv(21): Stand-alone call to function 'get' treated as implicit void cast.

# Region: /alu_tests_pkg

# ** Warning: (vsim-3764) ../alu_tests/alu_tests_pkg.sv(18): Stand-alone call to function 'get' treated as implicit void cast.

# Region: /alu_tests_pkg

# Loading C:/EDA/questasim_10.0c/win32/uvm-1.1/uvm_dpi.dll

#

run

# ----------------------------------------------------------------

# UVM-1.1

# © 2007-2011 Mentor Graphics Corporation

# © 2007-2011 Cadence Design Systems, Inc.

# © 2006-2011 Synopsys, Inc.

# © 2011 Cypress Semiconductor Corp.

# ----------------------------------------------------------------

# UVM_INFO @ 0: reporter [RNTST] Running test test_locking...

# UVM_INFO @ 0: uvm_test_top.t_env.alu_agent.alu_seqr@@m_sequence [COMPOSITE SEQUENCE] Starting add, sub, Mul, div sequences

# here 1

# UVM_FATAL @ 0: uvm_test_top.t_env.alu_agent.alu_seqr@@m_sequence [sEQNOTITM] attempting to start a sequence using start_item() from sequence 'uvm_test_top.t_env.alu_agent.alu_seqr.m_sequence'. Use seq.start() instead.

#

# --- UVM Report Summary ---

#

# ** Report counts by severity

# UVM_INFO : 2

# UVM_WARNING : 0

# UVM_ERROR : 0

# UVM_FATAL : 1

# ** Report counts by id

# [COMPOSITE SEQUENCE] 1

# [RNTST] 1

# [sEQNOTITM] 1

# ** Note: $finish : ../../uvm-1.1/src/base/uvm_report_object.svh(277)

# Time: 0 ns Iteration: 36 Region: /uvm_pkg::uvm_sequence_base::start

# 1

# Break in Function uvm_pkg/uvm_report_object::die at ../../uvm-1.1/src/base/uvm_report_object.svh line 277

why can not I run ?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...