Jump to content

How to set verbosity for uvm_object (not component)?


Recommended Posts

Is there a command-line command to change the verbosity for a uvm_object (like a configuration object)?

 

For components, I use:

+uvm_set_verbosity=test_top.env.myagent.*,_ALL_,UVM_DEBUG,run

 

I want to leave the entire testbench verbosity as sv_medium, except for one object of the following class.  Not being a component, it's not in the component hierarchy.

class plb_agent_configuration extends agent_cfg_base #(plb_transaction);
  `uvm_object_utils( plb_agent_configuration )

  virtual interface plb_driver_bfm   driver_bus;
  virtual interface plb_monitor_bfm  monitor_bus;

  function new( string name = "" );
    super.new( name );
  endfunction

  virtual task access(inout TRANS_T txn);
    driver_bus.access(txn);
  endtask

  virtual task monitor(inout TRANS_T txn);
    monitor_bus.monitor(txn);
  endtask

  virtual task wait_clk(input uint32_t num);
    monitor_bus.wait_for_clk(num);
  endtask

  virtual task wait_rsp();
    while (monitor_bus.cb.RspFlag_i!=1) @monitor_bus.cb;
    `uvm_info(report_id, "response!", UVM_DEBUG)
  endtask

endclass
Link to comment
Share on other sites

@Alan I'm not sure if this is going to affect reporting. I think supplying a parent when creating an object will only set the context used by the factory. I had a quick look through the code and when calling uvm_report_*(...) inside an object, you'll actually be calling the global methods which route the report to the top component (uvm_root).

 

You should try making your object inherit from uvm_report_object instead, as this class contains its own report handler. If you also set the context of the object (by specifying a parent or a full path), then you'll be able to distinguish it from other instances, even though it's not part of the component hierarchy.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...