Jump to content

SV UVM environment, not moving beyond 0 time


Recommended Posts

Hi,

   I have a verification environment in SV UVM which uses cadence denali APB and AHB UVC.

 

At zero simulation time, I see that the simulation comes out with the message given below :

 

Any pointers to move on ?

 

 

-------------------------------------------------------
 
   *** Dut error at time 0
        Checked at line 307 in @vr_ahb_synchronizer
        In vr_ahb_synchronizer-@5.finalize() (unit: sys.psif_cdn_ahb_env_list[0].my_sync):
 
Test was stopped because the synchronizer dropped it's objection
after reset, and no other agent raised an objection beforehand.
To disable the synchronizer's objection mechanism refer to
the AHB User Guide section 5.1.7.2 page 5-9
 
-------------------------------------------------------
Will stop execution immediately (check effect is ERROR)
 

 

Link to comment
Share on other sites

Hi,

 

   The problem was with my test code..

I had created the sequencer as vsqr instead of vseqr.  (Note the typo).

From the test, I was informing to run on the vseqr, the interested sequence...

Since the vseqr was not there only, .. it was coming out...

 

 

 

Once the proper sequencer name was created and run with it, the test ran fine..

 

 

regards 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...