Jump to content

uvm_resource_db usage


Recommended Posts

Hi,

 

I am using uvm_resource_db to set and get any component configuration. But I am getting an error saying configuration object is not found. Its something that its not able to get the config object from configuration space.

 

In env build_phase, I do set the configuration as below:

 

uvm_resource_db#(io_config)::set("io_agent_0*","io_agent_config",m_io_config,this);

 

And in io_agent, below code is used to get the configuration:

 

assert(uvm_resource_db#(io_config)::read_by_name(get_full_name(),"io_agent_config",cfg,this) else

uvm_report_fatal("io_agent","not able to get the configuration object");

 

Kindly let me know if there is anything wrong in the above usage.

 

And also, can I do setting configuration through uvm_resource_db and getting it through uvm_config_db.

 

 

Thanks

A.Sunitha

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...