Jump to content

SC_FIXED and UVM ML Packer


matteodc

Recommended Posts

Hi,

I am trying to use SC_FIXED in a UVM ML environment, but the uvm_packer.h doesn't support sc_fixed.

  virtual uvm_packer& operator << (bool a);
  virtual uvm_packer& operator << (char a);
  virtual uvm_packer& operator << (unsigned char a);
  virtual uvm_packer& operator << (short a);
  virtual uvm_packer& operator << (unsigned short a);
  virtual uvm_packer& operator << (int a);
  virtual uvm_packer& operator << (unsigned int a);
  virtual uvm_packer& operator << (long a);
  virtual uvm_packer& operator << (unsigned long a);
  virtual uvm_packer& operator << (long long a);
  virtual uvm_packer& operator << (unsigned long long a);
 
  virtual uvm_packer& operator << (std::string a);
  virtual uvm_packer& operator << (const char*);
 
  virtual uvm_packer& operator << (uvm_object* a);
  virtual uvm_packer& operator << (const uvm_object& a);
  virtual uvm_packer& operator << (const sc_logic& a);
  virtual uvm_packer& operator << (const sc_bv_base& a);
  virtual uvm_packer& operator << (const sc_lv_base& a);
  virtual uvm_packer& operator << (const sc_int_base& a);
  virtual uvm_packer& operator << (const sc_uint_base& a);
  virtual uvm_packer& operator << (const sc_signed& a);
  virtual uvm_packer& operator << (const sc_unsigned& a);
  template <class T>

Any idea how to solve it?

Thanks

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...