Jump to content

** Error: Failed to find name '__m_uvm_status_container' in specified scope


Recommended Posts

Hello,

I have written a packet :

class pkt extends uvm_object;

rand logic [ADDR_WIDTH-1:0] ADDR_BUS =0;

rand logic [DATA_WIDTH-1:0] WR_DATA_BUS =0;

rand bit WR_RD_EN =0;

`uvm_object_utils_begin(pkt)

`uvm_field_int(ADDR_BUS,UVM_ALL_ON)

`uvm_field_int(WR_DATA_BUS,UVM_ALL_ON)

`uvm_field_int(WR_RD_EN,UVM_ALL_ON)

`uvm_object_utils_end

endclass

while compiling the above code in QuestaSim i face the following error

** Error: ../stimulus_agent/pkt.sv(6): Failed to find name '__m_uvm_status_container' in specified scope

plz help me to fix this problem. I am new to uvm.

Thanks & Regards

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...