Jump to content

Failed to find 'create_item' in hierarchical name /create_item !!!


Recommended Posts

I have downloaded the phasing_primer_v5.rar from uvm resources column!

when I try to run it, QuestaSim's vlog gives us the warning as follow:

QuestaSim vlog 10.0b Compiler 2011.05 May 5 2011

-- Compiling package uvm_pkg

-- Compiling package uvm_phase_awareness_pkg

-- Importing package uvm_pkg

-- Compiling package blk_a_pkg

-- Importing package uvm_phase_awareness_pkg

** Warning: ../sv/blk_a_seq_lib.svh(159): (vlog-7046) The name 'create_item' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check the

spelling of the name 'create_item'.

** Warning: ../sv/blk_a_seq_lib.svh(162): (vlog-7046) The name 'start_item' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check the s

pelling of the name 'start_item'.

** Warning: ../sv/blk_a_seq_lib.svh(162): (vlog-7046) The name 'finish_item' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check the

spelling of the name 'finish_item'.

** Warning: ../sv/blk_a_seq_lib.svh(162): (vlog-7046) The name 'get_sequencer' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check th

e spelling of the name 'get_sequencer'.

-- Compiling package blk_b_pkg

** Warning: ../sv/blk_b_seq_lib.svh(159): (vlog-7046) The name 'create_item' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check the

spelling of the name 'create_item'.

** Warning: ../sv/blk_b_seq_lib.svh(162): (vlog-7046) The name 'start_item' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check the s

pelling of the name 'start_item'.

** Warning: ../sv/blk_b_seq_lib.svh(162): (vlog-7046) The name 'finish_item' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check the

spelling of the name 'finish_item'.

** Warning: ../sv/blk_b_seq_lib.svh(162): (vlog-7046) The name 'get_sequencer' is not found. The tool will treat the name as a reference starting at $root. If this is unexpected please check th

e spelling of the name 'get_sequencer'.

-- Compiling package rst_pkg

-- Compiling package test_pkg

-- Compiling package test_a_pkg

-- Importing package blk_a_pkg

-- Importing package test_pkg

-- Compiling module top

-- Importing package test_a_pkg

-- Importing package blk_b_pkg

-- Importing package rst_pkg

Top level modules:

top

vsim +UVM_VERBOSITY=UVM_MEDIUM -sv_lib ../../../../../lib/uvm_dpi -do "do wave.do; run -all; q" -l questa.log -f questa.tops +UVM_TESTNAME=test

Reading C:/questasim_10.0b/tcl/vsim/pref.tcl

When entering the QuestaSim, the vsim reports errors as follows:

# vsim +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=test -do {do wave.do; run -all; q} -l questa.log -sv_lib ../../../../../lib/uvm_dpi top

# ** Note: (vsim-3812) Design is being optimized...

# ** Error: ../sv/blk_b_seq_lib.svh(159): Failed to find 'create_item' in hierarchical name /create_item.

# ** Error: ../sv/blk_b_seq_lib.svh(159): Failed to find 'create_item' in hierarchical name /create_item/$$.

# ** Error: ../sv/blk_b_seq_lib.svh(162): Failed to find 'start_item' in hierarchical name /start_item.

# ** Error: ../sv/blk_b_seq_lib.svh(162): Failed to find 'finish_item' in hierarchical name /finish_item.

# ** Error: ../sv/blk_b_seq_lib.svh(162): Failed to find 'get_sequencer' in hierarchical name /get_sequencer.

# ** Error: ../sv/blk_b_seq_lib.svh(162): Failed to find 'get_sequencer' in hierarchical name /get_sequencer/$$.

# ** Error: ../sv/blk_a_seq_lib.svh(159): Failed to find 'create_item' in hierarchical name /create_item.

# ** Error: ../sv/blk_a_seq_lib.svh(159): Failed to find 'create_item' in hierarchical name /create_item/$$.

# ** Error: ../sv/blk_a_seq_lib.svh(162): Failed to find 'start_item' in hierarchical name /start_item.

# ** Error: ../sv/blk_a_seq_lib.svh(162): Failed to find 'finish_item' in hierarchical name /finish_item.

# ** Error: ../sv/blk_a_seq_lib.svh(162): Failed to find 'get_sequencer' in hierarchical name /get_sequencer.

# ** Error: ../sv/blk_a_seq_lib.svh(162): Failed to find 'get_sequencer' in hierarchical name /get_sequencer/$$.

# Optimization failed

# Error loading design

#

Who could like to help me?

Thanks a lot in advance!!

Link to comment
Share on other sites

  • 7 years later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...