Jump to content

Factory override(set_type_override_by_type, set_inst_override_by_type)


Recommended Posts

Hi All,


For set_type_override_by_type and set_inst_override_by_type, I am seeing same definition in uvm user guide as given below.


set_type_override_by_type : A convenience function for uvm_factory::set_type_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below.


set_inst_override_by_type : A convenience function for uvm_factory::set_inst_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below


 


Please let me know what is difference between these two type of override?


Thanks,


Rahul Kumar


Link to comment
Share on other sites

Hi, 

   set_inst_override_by_type configure factory to create an object of override type when request made to create an object for original type using context that matches the full_inst_path  string argument.

  

   set_type_override_by_type configure factory to create an object of override type when request made to create an object for original type.

 

Thanks 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...