Jump to content

Question about backdoor map


Recommended Posts

 

I'm trying to set up user-defined backdoor access to a memory.  I created a derived uvm_reg_backdoor object and configured the memory with it.  When I do a backdoor write to this memory, I get this warning:

 

UVM_WARNING @ 0 ns: reporter [RegModel] Memory 'mem.Memory' is not contained within map 'Backdoor' (called from get_access())

 

Digging into the UVM source code, I can see some code using the map returned by the static uvm_reg_map::backdoor() which returns its local m_backdoor (after creating a map first if it happens to be null).

 

I tried using uvm_reg_map::backdoor() to get a reference to this map and then using it to add my memory to the map but this error:

 

        UVM_ERROR @ 0 ns: reporter [RegModel] Memory 'mem.Memory' may not be added to address map 'Backdoor' : they are not in the same block

 

I didn't see anything in any documentation about creating or setting up this backdoor map and I don't really see any way for users to even do that if they wanted to.

 

My user-defined write() function still seems to be called despite the warning.

 

Can someone please enlighten me about the proper way to set up this backdoor map or point me in the direction of an example or some documentation?  I'm missing something.

 

Thanks.

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...