Jump to content

uvm_config_db#(...) - Difference between set(null, "*", ...); -vs- set(uvm_root::get(), "*", ...); ??


Recommended Posts

Hi, all -

 

When using uvm_config_db#(...)::set(...) from a top-module, is there any difference between using set-context=null and inst_name "*" and using set-context=uvm_root::get(), and inst_name "*"?

 

top-module examples:

uvm_config_db#(virtual dut_if)::set(null, "*", "vif", dif);

uvm_config_db#(virtual dut_if)::set(uvm_root::get(),, "*", "vif", dif);

 

Of course, per the UVM Class Reference, setting a context to null means that the inst_name provides the complete scope and "*" means any scope. Setting the context to uvm_top (returned by uvm_root::get()) and indicating "*" any scope in uvm_top seems to do the same thing.

 

It seems that both are making the dut_if handle globally accessible.

 

Comments?

 

Regards - Cliff Cummings

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...