Jump to content

Can I detect UVM generated randomize transactions in waveform by Mentor tools?


Recommended Posts

For example, the sequence item random parameter is A. Then the total UVM environment has been set up correctly. I make the test to repeat 10 times and the A should be generated 10 times and driven to my DUT. How can I check each times A in waveform instead of the terminal screen. Because I also want to check other signals, for example. The driver port signal, the monitor port signal?

Link to comment
Share on other sites

  • 3 weeks later...

For example, the sequence item random parameter is A. Then the total UVM environment has been set up correctly. I make the test to repeat 10 times and the A should be generated 10 times and driven to my DUT. How can I check each times A in waveform instead of the terminal screen. Because I also want to check other signals, for example. The driver port signal, the monitor port signal?

you must compile  questasim_uvm_package.sv ,and  vsim -uvmcontrol=all ,then

 

add  sequences  to wave using  UVM-Aware Debug windows,

 

 also,may add monitor transaction to wave using transaction record method ,

 

the version of mentor tools should 10.2b above. :D,ple reference questa SIM user Mannal.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...