Jump to content

UVM 1.2 Introduction and Code Examples


Recommended Posts

The first video series Introducing What's New in UVM 1.2 is out. Also, here is the UVM 1.2 Class Reference

 

This video series covers the changes and new features introduced in UVM 1.2. It is intended for engineers who are already somewhat familiar with UVM.

The series comes with CODE EXAMPLES THAT WORK. That's right, real working code and not just a snippet on a slide.

 

The series has the following parts, covering different areas of UVM 1.2 changes. Recommend viewing in 720p quality or higher.

  1. uvm_object must have constructor
  2. Config DB
  3. Objections
  4. Sequences
  5. uvm_integral_t type
  6. Reporting (major changes)
  7. Phasing
  8. Factory
  9. parametrized uvm_event
  10. Transaction recording (coming soon)

 

I hope you find it useful. Let me know what other topics you'd like to see.

 

Note:

The videos are based on UVM 1.2 release candidate. I do not expect the features covered in the series to change for the final release. The above code examples will always work. If needed, they will be updated for the final UVM 1.2 release.

Link to comment
Share on other sites

  • 3 weeks later...

qinhailiang,

 

Are you asking when you can get UVM 1.2?

UVM is open source, so you can grab the latest code any time. Here is the UVM 1.2 RC3: http://sourceforge.net/p/uvm/code/ci/UVM_1_2_RELEASE_RC3_WITHHTMLDOC/tree/distrib/

 

Once Accellera puts its stamp of approval, you'll be able to download it from accellera.org

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...