Jump to content

SystemC fifo


Recommended Posts

Hello,

 

i had used fifo in systemC in following way

 

sc_fifo<int> cmd_fifo; //fifo decleration

 

in constructor

hci_top(sc_module_name nm):sc_module(nm), cmd_fifo(buffer_size)

 

its showing no compilation errros

but i want its depth like 20, and buffer size of each location of 8 bits(1 byte). Is there something we cannot change the default value of depth 16 of sc_fifo? 

 

 

How it would be possible?

 

i also done in following way, but its not working

sc_fifo<int depth> cmd_fifo(buffer size);

 

Regards

cam

Link to comment
Share on other sites

Thanks Alan,

 

Now its working well. Actually I was confused with fifo depth(No of locations) and size of each location.

 

like there are 16 fifo depth then there are 16 locations. What is the default size of each location(like How much data can be stored like 1 byte, 2 byte or how many bytes ) and could it be configured like the depth of fifo?

 

 

Regards

cam

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...