Jump to content

connect uvm_analysis_export (SV) and tlm_aanalysis_port (SC) with UVMC


samng

Recommended Posts

Hi,

 

I am trying to connect uvm_analysis_export (SV) and tlm_aanalysis_port (SC) using UVMC like the following:

 

    uvm_analysis_export #(obs_xact#(WL,DEP)) sc_export;
 

    uvmc_tlm#(obs_xact#(WL,DEP))::connect(sc_export,"fft_ap");

 

but I got the follow error message:

 

  Incompatible complex type usage in task or function call.
  The following expression is incompatible with the formal parameter of the
  function. The type of the actual is 'class
  uvm_pkg::uvm_analysis_export#(class test_pkg::obs_xact#(12,512))', while the
  type of the formal is 'class uvm_pkg::uvm_port_base#(class
  uvm_pkg::uvm_tlm_if#(class test_pkg::obs_xact#(12,512),enum
  uvm_pkg::uvm_tlm_phase_e))'. Expression: this.sc_export
  Source info:
  uvmc_tlm#(test_pkg::obs_xact#(12,512),uvm_pkg::uvm_tlm_phase_e,uvmc_pkg::uvmc_default_converter#(uvm_pkg::uvm_object))::connect(this.sc_export,
  "fft_ap", null ...
 

Isn't uvm_analysis_export is extended from uvm_port_base#(uvm_tlm_if#())?

 

Thanks

Sam
 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...