Jump to content

Free systemverilog/uvm simulator for small amounts of code exists?


Recommended Posts

  • 1 month later...
  • 2 weeks later...

I don't think there is such a thing.

 

The Altera Modelsim Starter Edition is free, and supports some SystemVerilog (design constructs), but not classes/UVM/constrained random...

That's not completely correct. The Starter/Student editions will support classes. but not calls to class.randomize(), covergroups, or assertions. So you could create some basic UVM structures.

Link to comment
Share on other sites

  • 3 weeks later...

Hi Dave,

 

As a novice, from the tutorials, all i can remember is, it is necessary to register component using system calls liks `uvm_component_utils or something similar. Now, If i take up a student version of modelsim, it doesn't come with any uvm_library's binary info. So, how can i register any uvm components/objects/sequences and use it?

 

Thanks.

Link to comment
Share on other sites

There is a free browser based IDE for Verilog and SystemVerilog called EDA Playground. It is specifically designed for small prototypes and examples.

Currently, SystemVerilog support is limited to a few features, such as packages: http://www.edaplayground.com/s/4/26

EDA Playground is currently seeking a partner to offer limited public access for UVM simulations.

That said, UVM is fully supported for private deployments. Here is UVM code with sim results: http://www.edaplayground.com/s/4/64

Link to comment
Share on other sites

  • 3 months later...
  • 6 years later...

 

tried to compile but was not successfull

 

# Model Technology ModelSim ALTERA vlog 10.3d Compiler 2014.10 Oct  7 2014
# -- Compiling package uvm_pkg
# ** Error: ./src/base/uvm_object_globals.svh(141): (vlog-2999) Enum literal 'UVM_DEEP' width (32) must match enum's witdth(28).
# 
# ** Error: ./src/base/uvm_object_globals.svh(142): (vlog-2999) Enum literal 'UVM_SHALLOW' width (32) must match enum's witdth(28).
# 
# ** Error: ./src/base/uvm_object_globals.svh(143): (vlog-2999) Enum literal 'UVM_REFERENCE' width (32) must match enum's witdth(28).

Thanks in advance

Sergei

Link to comment
Share on other sites

David, thank you for answering.

I have no any my code included. Just trying to compile UVM 1.2 (copied whole folder "src").

Tool is Modelsim Altera Starter 10.3d

 

Thanks , Sergei

 

vlog -work work -sv -stats=none  src/uvm_pkg.sv +incdir+./src
# Model Technology ModelSim ALTERA vlog 10.3d Compiler 2014.10 Oct  7 2014
# -- Compiling package uvm_pkg
# ** Error: ./src/base/uvm_object_globals.svh(141): (vlog-2999) Enum literal 'UVM_DEEP' width (32) must match enum's witdth(28).
# 
# ** Error: ./src/base/uvm_object_globals.svh(142): (vlog-2999) Enum literal 'UVM_SHALLOW' width (32) must match enum's witdth(28).
# 
# ** Error: ./src/base/uvm_object_globals.svh(143): (vlog-2999) Enum literal 'UVM_REFERENCE' width (32) must match enum's witdth(28).
# 
# ** Warning: ./src/seq/uvm_sequencer_base.svh(1381): (vlog-2186) SystemVerilog testbench feature
# 
# (randomization, coverage or assertion) detected in the design.
# 
# These features are only supported in Questasim.
# 
# ** Warning: ./src/reg/uvm_mem_mam.svh(701): (vlog-2186) SystemVerilog testbench feature
# 
# (randomization, coverage or assertion) detected in the design.
# 
# These features are only supported in Questasim.
# 
# ** Warning: ./src/seq/uvm_sequence_library.svh(766): (vlog-2186) SystemVerilog testbench feature
# 
# (randomization, coverage or assertion) detected in the design.
# 
# These features are only supported in Questasim.
# 
# c:/altera/15.0/modelsim_ase/win32aloem/vlog failed.

 

Link to comment
Share on other sites

  • 4 months later...

This appears to be a problem for Mentor Graphics since UVM-1.2 compiles fine with their other tools. It may be a limitation of ModelSim. As stated earlier, if you want to use UVM for learning/experimentation, then https://www.edaplayground.com is available. On the other hand, if you are wanting to do a real project, then you must purchase a full blown simulator from a commercial vendor.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...