Jump to content

How can questasim10.0b runs an exmple with uvm-1.0p1 and uvm-1.1 library differences?


Recommended Posts

Hello all,

I came to know that there are some differences between the versions of UVM libraries,especially uvm-1.0p1 and uvm-1.1 class libraries. I think there had been lot of additions in classes and macros of the uvm-1.1 than uvm1.0p1; some deletions are also there. I have questasim10.0b in which there is uvm-1.0p1 is in built. I ran a basic example in which there were some macros featured from uvm1.1 viz. resourse database and config database and these are not present in uvm-1.0p1. So can anyone plz tell me, how did the old version of uvm-1.0p1 support the features for uvm1.1? How does it work? Can some one plz put some light on it?

Thanks,

Swapnil

Link to comment
Share on other sites

I do not recommend that any one use uvm-1.0p1. I consider that version beta quality code, even though it was released with a production version of Questa. I also recommend that you do not use any example that require UVM 1.0p1. Questa 10.0c and later versions have uvm 1.1 built in. But even if you have an older version of Questa (at least 6.6d), you can compile the UVM yourself. See http://go.mentor.com/uvm1-0-questa.

Just forget about UVM 1.0p1

Link to comment
Share on other sites

Hello Sir,

Thanks for your reply. So does it mean that questasim10.0b version is not usefull because it has uvm-1.0p1 in built in it? If I am supposed to use only uvm1.1 by compiling it separately, then how can I use it with questasim10.0b because I dont have any newer version of questasim with me? What are the guidelines to use it? I am doing it in windows 7 OS platform. Plz provide guidance. Also why is it not recommended to use uvm1.0p1 version?

Thanks in advance,

Swapnil

Link to comment
Share on other sites

So does it mean that questasim10.0b version is not usefull because it has uvm-1.0p1 in built in it? If I am supposed to use only uvm1.1 by compiling it separately, then how can I use it with questasim10.0b because I dont have any newer version of questasim with me?[/quote

EVEN IF YOU HAVE AN OLDER VERSION OF QUESTA, YOU CAN COMPILE THE LATEST uvm YOURSELF. SEE http://go.mentor.com/uvm1-0-questa.

What are the guidelines to use it? I am doing it in windows 7 OS platform. Plz provide guidance. Also why is it not recommended to use uvm1.0p1 version?

IT IS NOT RECOMMENDED TO USE UVM1.0P1 BE CAUSE IT IS BETA QUALITY.

Link to comment
Share on other sites

Hello Sir, I visited the site you recommended to. There is one section which is as follows:

if you use your own UVM download, or you use Questa 6.6d or 10.0 you need to do the following:

vlib work

vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv

mkdir -p $UVM_HOME/lib

g++ -m32 -fPIC -DQUESTA -g -W -shared

-I/u/release/10.0a/questasim//include

$UVM_HOME/src/dpi/uvm_dpi.cc

-o $UVM_HOME/lib/uvm_dpi.so

vlog +incdir+$UVM_HOME/src hello.sv

vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi …

I need to do all of this. So gave the path for UVM_HOME. but some commands in this doesnt seem to work in questasim10.0b transcript portion. These commands are :-

g++ -m32 -fPIC -DQUESTA -g -W -shared

-I/u/release/10.0a/questasim/include

$UVM_HOME/src/dpi/uvm_dpi.cc

-o $UVM_HOME/lib/uvm_dpi.so

These g++ commands are important? What are these commands for? Can you plz give me some guidance in this regard.

Thanks in advance,

Swapnil

Link to comment
Share on other sites

Hello all,

Do I need to install cygwin in order to run these commands:-

g++ -m32 -fPIC -DQUESTA -g -W -shared

-I/u/release/10.0a/questasim/include

$UVM_HOME/src/dpi/uvm_dpi.cc

-o $UVM_HOME/lib/uvm_dpi.so

Does it mean that I always have to use cygwin to run questasim10.0b ? How do I use cygwin? Can anyone plz put some light on it.

Thanks in advance,

Swapnil

Link to comment
Share on other sites

  • 2 months later...

Hi Swapnil,Dave,

 

I have cygwin and able to run hello_world example of uvm-1.0pl only with it in questa 10.0b. As pointed out by Dave in http://forums.accellera.org/topic/767-questasim-with-cygwin/ . But after that I am not able to proceed neither I am able to run ubus examples in uvm-1,0pl nor able to compile dpi library in other versions.

 

Kindly help

Link to comment
Share on other sites

Hi Alan,

 

Thanks for your reply. I have mingw-4.2.1 in questa-10.0b installation.Now I cd to uvm-1.1d/uvm-1.1d/examples/simple/hello_world. Then run :

vlib work

vlog +incdir+../../../src ../../../src/uvm_pkg.sv ../../../src/dpi/uvm_dpi.cc which gives following error message :

 

Top level modules:
        --none--
** Error: (vlog-71) Creation of "work/_dpi/qv_dpi.dll" failed with the error messages given below.
 
work/_dpi/uvm_dpi.obj:uvm_dpi.cc:(.text+0x13): undefined reference to `regfree'
work/_dpi/uvm_dpi.obj:uvm_dpi.cc:(.text+0x4b): undefined reference to `regexec'
work/_dpi/uvm_dpi.obj:uvm_dpi.cc:(.text+0x74): undefined reference to `regcomp'
work/_dpi/uvm_dpi.obj:uvm_dpi.cc:(.text+0x745): undefined reference to `regcomp'
work/_dpi/uvm_dpi.obj:uvm_dpi.cc:(.text+0x760): undefined reference to `regexec'
work/_dpi/uvm_dpi.obj:uvm_dpi.cc:(.text+0x76e): undefined reference to `regfree'
collect2: ld returned 1 exit status
 
Please help.
 
Regards,
Chandan
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...