Jump to content

Search the Community

Showing results for tags 'systemc 2.3.0'.

  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • Accellera Systems Initiative
    • Information
    • Announcements
    • In the News
  • SystemC
    • SystemC Language
    • SystemC AMS (Analog/Mixed-Signal)
    • SystemC TLM (Transaction-level Modeling)
    • SystemC Verification (UVM-SystemC, SCV, CRAVE, FC4SC)
    • SystemC CCI (Configuration, Control & Inspection)
    • SystemC Datatypes
  • UVM (Universal Verification Methodology)
    • UVM (IEEE 1800.2) - Methodology and BCL Forum
    • UVM SystemVerilog Discussions
    • UVM Simulator Specific Issues
    • UVM Commercial Announcements
    • UVM (Pre-IEEE) Methodology and BCL Forum
  • Portable Stimulus
    • Portable Stimulus Discussion
    • Portable Stimulus 2.0 Public Review Feedback
  • SystemVerilog-AMS
    • Verilog-AMS 2023 Public Review
  • IP-XACT
    • IP-XACT Discussion
  • SystemRDL
    • SystemRDL Discussion
  • Clock Domain Crossing (CDC)
    • CDC Draft LRM Release Discussion
  • IP Security
    • SA-EDI Standard Discussion
    • IP Security Assurance Whitepaper Discussion
  • IEEE 1735/IP Encryption
    • IEEE 1735/IP Encryption Discussion
  • Commercial Announcements
    • Announcements

Categories

  • SystemC
  • UVM
  • UCIS
  • IEEE 1735/IP Encryption

Calendars

  • Community Calendar

Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


Jabber


Skype


AIM


Yahoo


ICQ


Website URL


MSN


Interests


Location


Biography


Location


Interests


Occupation


Company

Found 3 results

  1. Hello all, I'm simulating MOESI protocol for L1 caches in SystemC. I'm getting different results for the same source code when running in SystemC 2.3.0 and SystemC 2.3.1 versions in different machines. Could anyone kindly pass some light on this topic? Machine1: Linux 3.13, Ubuntu 14.04, SystemC 2.3.1, Intel Pentium Dual Core processor. Output - Machine 1: CPU Reads RHit RMiss Writes WHit WMiss Hitrate 0 6 0 6 4 0 4 0.000000 1 34 0 34 22 0 22 0.000000 2 35 0 35 43 0 43 0.000000 3 39 2 37 46 2 44 4.705882 4 36 0 36 55 0 55 0.000000 5 52 0 52 47 0 47 0.000000 6 48 3 45 51 2 49 5.050505 7 42 1 41 55 5 50 6.185567 Total: 292 6 286 323 9 314 15 Avg: 36 0 35 40 1 39 1 2. Main memory access rates Bus had 286 reads and 1 upgrades and 314 readX. A total of 601 accesses. 3. Average time for bus acquisition There were 50 waits for the bus. Average waiting time per access: 0.083195 cycles. 4. There were 1 Cache to Cache transfers 5. Total execution time is 10204 ns, Avg per-mem-access time is 16.978369 ns 6. Probe Read: 5, Probe ReadX: 7 Machine 2: Linux 3.13, Ubuntu 14.04, SystemC 2.3.0, Intel i7 Quad Core processor. Output - Machine 2: CPU Reads RHit RMiss Writes WHit WMiss Hitrate 0 6 0 6 4 0 4 0.000000 1 34 0 34 22 0 22 0.000000 2 35 0 35 43 0 43 0.000000 3 39 2 37 46 2 44 4.705882 4 36 0 36 55 0 55 0.000000 5 52 0 52 47 0 47 0.000000 6 48 3 45 51 2 49 5.050505 7 42 1 41 55 5 50 6.185567 2. Main memory access rates Bus had 286 reads and 0 upgrades and 314 readX. A total of 600 accesses. 3. Average time for bus acquisition There were 51 waits for the bus. Average waiting time per access: 0.085000 cycles. 4. There were 0 Cache to Cache transfers 5. Total execu tion time is 10204 ns, Avg per-mem-access time is 17.006667 ns 6. Probe Read: 1 , Probe ReadX: 0 Does the version 2.3.0 and 2.3.1 are the reason for the inconsistent result? Source code and steps to run the simulation can be found here. Thanks, Tamilselvan Shanmugam.
  2. hello, I want install SystemC 2.3.0 in my Ubuntu 14.04 (64 bit),and enter these below commands: mkdir objdir -> cd objdir -> export CXX=g++ -> ../configure -> make when i enter "make" command this error is occured: /bin/bash: -c: line 1: syntax error: unexpected end of file make: *** [all-recursive] Error 1 I will be glad if anyone can help me
  3. Hello everyone, I’m new in systemc and in this forum. I successfully downloaded and installed systemc-2.3.0 on MSVC-2010 (Windows 7) I can compile and run the examples. BUT when I write an own program it doesn’t work. For example I wrote this simple program. #include "systemc.h"#define WIDTH 4 SC_MODULE(adder) { sc_in<sc_uint<WIDTH> > a, b; sc_out<sc_uint<WIDTH> > sum; void do_add() { sum.write(a.read() + b.read()) } SC_CTOR(adder) { SC_METHOD(do_add); sensitive << a << b; } }; systemc.lib(sc_main_main.obj) : error LNK2019: unresolved external symbol > _sc_main referenced in function _sc_elab_and_sim > Debug/addieren.exe : fatal error LNK1120: 1 unresolved externals It seems that the compiler can’t find systemc.lib, but I have add additional libraries in the properties and set the environment variable. I checked it many times but I always got the above-mentioned messages. Can you help me? Thanks in advance. Regards, Aydin Uni Bochum
×
×
  • Create New...