Jump to content

Search the Community

Showing results for tags 'clang'.

  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • Accellera Systems Initiative
    • Information
    • Announcements
    • In the News
  • SystemC
    • SystemC Language
    • SystemC AMS (Analog/Mixed-Signal)
    • SystemC TLM (Transaction-level Modeling)
    • SystemC Verification (UVM-SystemC, SCV, CRAVE, FC4SC)
    • SystemC CCI (Configuration, Control & Inspection)
    • SystemC Datatypes
  • UVM (Universal Verification Methodology)
    • UVM (IEEE 1800.2) - Methodology and BCL Forum
    • UVM SystemVerilog Discussions
    • UVM Simulator Specific Issues
    • UVM Commercial Announcements
    • UVM (Pre-IEEE) Methodology and BCL Forum
  • Portable Stimulus
    • Portable Stimulus Discussion
    • Portable Stimulus 2.0 Public Review Feedback
  • SystemVerilog-AMS
    • Verilog-AMS 2023 Public Review
  • IP-XACT
    • IP-XACT Discussion
  • SystemRDL
    • SystemRDL Discussion
  • Clock Domain Crossing (CDC)
    • CDC Draft LRM Release Discussion
  • IP Security
    • SA-EDI Standard Discussion
    • IP Security Assurance Whitepaper Discussion
  • IEEE 1735/IP Encryption
    • IEEE 1735/IP Encryption Discussion
  • Commercial Announcements
    • Announcements

Categories

  • SystemC
  • UVM
  • UCIS
  • IEEE 1735/IP Encryption

Calendars

  • Community Calendar

Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


Jabber


Skype


AIM


Yahoo


ICQ


Website URL


MSN


Interests


Location


Biography


Location


Interests


Occupation


Company

Found 2 results

  1. Hello, I wanted to build and install SystemC 2.3.3 on a Mac Catalania. I didn't called 'make install' yet due to the issue that 22 of 22 tests are failing. I checked the logs of some of the test and saw in all files that a 'segmentation fault' got thrown before producing output. While building it only throw 2 warnings see in the attachments. My environment details: OS: Mac Catalania 10.15.5 processor: intel i5 cmake found the type: __LP64__. build tool tried: make GNU Make 3.81 and cmake 3.18.1 (Therefore it should not make a different that I didn't called gmake but make instead) CXX = Apple clang version 11.0.3 in the attachment I copied the test-suit.log For cmake build the test suit also throws segmentation faults. What I found while ruining make for cmake, it thrown two errors in run_test.cmake first line 104: string(REGEX REPLACE "^.*stopped by user.*$" "" RUN_TRIMMED_LOG ${RUN_LOG}) and line 108: string(REGEX REPLACE "^.*stopped by user.*$" "" EXPECTED_TRIMMED_LOG "${EXPECTED_LOG}") message: 'string sub-command REGEX, mode REPLACE needs at least 6 arguments total to command.' Therefore I also post the 'LastTest.log to the attachment' Did you experienced this issue? Could you suggestions how to build it in a better way? I also noticed that the install.md file for SystemC 2.3.3 has the version number systemc 2.3.2. Is this a problem? Regards Sören Kwasigroch test-suite.log WarningsDuringBuild.rtf LastTest.log
  2. When using clang++ (version 3.1 under cygwin/Windows) and SystemC 2.3, I get a coredump when killing a dynamically spawned process. The message is: terminate called after throwing an instance of 'sc_core::sc_unwind_exception' what(): KILL Aborted (core dumped) Using gcc/g++ works correctly. Any idea what is causing this? Attached a simple example to reproduce the coredump. SC_MODULE(x) { void f1() { sc_process_handle h2 = sc_spawn(sc_bind(&x::f2, this) ); wait(5, SC_MS); if (h2.valid()) h2.kill(); } void f2() { cout << "@" << sc_time_stamp() << ": A" << endl; wait(10, SC_MS); cout << "@" << sc_time_stamp() << ": B" << endl; } SC_CTOR(x) { sc_process_handle h1 = sc_spawn(sc_bind(&x::f1, this) ); }; }; int sc_main(int, char*[]) { x mod_x("x"); sc_start(); return 0; }
×
×
  • Create New...