Jump to content

nishanthmv

Members
  • Posts

    2
  • Joined

  • Last visited

nishanthmv's Achievements

Member

Member (1/2)

0

Reputation

  1. Hi Adiel, I'm able to get it running with VCS 2011.03. Thanks a lot for your suggestions. -- Nishanth
  2. Hi, I'm trying to compile the 'helloworld' program in uvm1.1 (simple examples) using vcs. I'm getting the following errors. I'm having : OS : Linux_x86_64 VCS : vcs-mx_vD-2010.06 I've set the UVM_HOME to the path $user/study/uvm-1.1 Can anyone please let me know if I'm missing anything? Error-[XMRE] Cross-module reference resolution error ../../../../src/base/uvm_phase.svh, 776 Error found while trying to resolve cross-module reference. token 'm_successors'. Originating package 'uvm_pkg'. Source info: pred.m_successors[begin_node] = 1; Error-[XMRE] Cross-module reference resolution error ../../../../src/base/uvm_phase.svh, 778 Error found while trying to resolve cross-module reference. token 'm_predecessors'. Originating package 'uvm_pkg'. Source info: succ.m_predecessors[end_node] = 1; etc.. Error-[XMRE] Cross-module reference resolution error ../../../../src/reg/uvm_reg_map.svh, 1599 Error found while trying to resolve cross-module reference. token 'min'. Originating package 'uvm_pkg'. Source info: if ((((min <= range.max) && (max >= range.max)) || ((min <= range.min) && (max >= range.min))) || ((min >= range.min) && (max <= range.max))) begin : unnamed$ ... Error-[XMRE] Cross-module reference resolution error ../../../../src/reg/uvm_reg_map.svh, 1599 Error found while trying to resolve cross-module reference. token 'max'. Originating package 'uvm_pkg'. Source info: if ((((min <= range.max) && (max >= range.max)) || ((min <= range.min) && (max >= range.min))) || ((min >= range.min) && (max <= range.max))) begin : unnamed$ ... Error-[XMRE] Cross-module reference resolution error ../../../../src/base/uvm_phase.svh, 1410 Error found while trying to resolve cross-module reference. token 'get_full_name'. Originating package 'uvm_pkg'. Source info: succ.get_full_name() Error-[XMRE] Cross-module reference resolution error ../../../../src/base/uvm_phase.svh, 1410 Error found while trying to resolve cross-module reference. token 'get_inst_id'. Originating package 'uvm_pkg'. Source info: succ.get_inst_id() 1 warning 46 errors ..
×
×
  • Create New...